Adaptive Data Path Selection for Durable Transaction in GPU Persistent Memory

01/11/2023
by   Xinjian Long, et al.
0

The new non-volatile memory technology relies on data recoverability to achieve the promise of byte-addressable persistence in computer applications. The durable transaction (e.g. logging) is one of the major persistency programming models to provide recoverable data structures. To achieve performant failure-atomic transactional updates to PM, multi-data-path architectures that separate the data paths for persists are recently explored for CPUs. Considering the importance of GPU as a key computing platform for many application domains, we investigate the multi-data-path architecture for durable transactions to PM in GPU. Our solution, AGPM, exploits an adaptative data-path-selection strategy for the log updates to PM. AGPM reduces the GPU kernels' execution time by at least 24.37 state-of-the-art designs.

READ FULL TEXT

Please sign up or login with your details

Forgot password? Click here to reset