Efficient Fault Injection based on Dynamic HDL Slicing Technique

01/24/2020
by   Ahmet Cagri Bagbaba, et al.
0

This work proposes a fault injection methodology where Hardware Description Language (HDL) code slicing is exploited to prune fault injection locations, thus enabling more efficient campaigns for safety mechanisms evaluation. In particular, the dynamic HDL slicing technique provides for a highly collapsed critical fault list and allows avoiding injections at redundant locations or time-steps. Experimental results show that the proposed methodology integrated into commercial tool flow doubles the simulation speed when comparing to the state-of-the-art industrial-grade EDA tool flows.

READ FULL TEXT

Please sign up or login with your details

Forgot password? Click here to reset